Journal of Systemics, Cybernetics and Informatics (Dec 2007)

General Architecture and Instruction Set Enhancements for Multimedia Applications

  • Mansour Assaf,
  • Aparna Rajesh

Journal volume & issue
Vol. 5, no. 6
pp. 64 – 72

Abstract

Read online

The present day multimedia applications (MMAs) are driving the computing industry as every application being developed is using multimedia in one or the other way. Computer architects are building computer systems with powerful processors to handle the MMAs. There have been tremendous changes in the design of the processors to handle different types of MMAs. We see a lot of such application specific processors today in the industry; different architectures have been proposed for processing MMAs such as VLIW, superscalar (general-purpose processor enhanced with a multimedia extension such as MMX), vector architecture, SIMD architectures, and reconfigurable computing devices. Many of the General Purpose Processors (GPPs) require coprocessors to handle graphics and sound and usually those processors are either expensive or incompatible. Keeping these and the demands MMAs in mind designers have made changes to GPPs; many GPP Vendors have added instructions to their Instruction Set Architecture (ISA). All these processors use similar techniques to execute multimedia instructions. This survey paper investigates the enhancements made to the GPPS in their general Architecture as well as the ISA. We will present the many different techniques used by GPP designers to handle MMAs, the present day GPP available architectures, compare different techniques, and concludes this survey.

Keywords