International Journal of Reconfigurable Computing (Jan 2012)

Open SystemC Simulator with Support for Power Gating Design

  • George Sobral Silveira,
  • Alisson V. Brito,
  • Helder F. de A. Oliveira,
  • Elmar U. K. Melcher

DOI
https://doi.org/10.1155/2012/793190
Journal volume & issue
Vol. 2012

Abstract

Read online

Power gating is one of the most efficient power consumption reduction techniques. However, when applied in several different parts of a complex design, functional verification becomes a challenge. Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL) abstraction, based on the Common Power Format (CPF) and the Unified Power Format (UPF). The purpose of this paper is to present an OSCI SystemC simulator with support to the power gating design. This simulator is an alternative to assist the functional verification accomplishment of systems modeled in RTL. The possibility of controlling the retention and isolation of power gated functional block (PGFB) is presented in this work, turning the simulations more stable and accurate. Two case studies are presented to demonstrate the new features of that simulator.