Light: Science & Applications (Jun 2022)

Pristine PN junction toward atomic layer devices

  • Hui Xia,
  • Man Luo,
  • Wenjing Wang,
  • Hailu Wang,
  • Tianxin Li,
  • Zhen Wang,
  • Hangyu Xu,
  • Yue Chen,
  • Yong Zhou,
  • Fang Wang,
  • Runzhang Xie,
  • Peng Wang,
  • Weida Hu,
  • Wei Lu

DOI
https://doi.org/10.1038/s41377-022-00814-8
Journal volume & issue
Vol. 11, no. 1
pp. 1 – 8

Abstract

Read online

Abstract In semiconductor manufacturing, PN junction is formed by introducing dopants to activate neighboring electron and hole conductance. To avoid structural distortion and failure, it generally requires the foreign dopants localize in the designated micro-areas. This, however, is challenging due to an inevitable interdiffusion process. Here we report a brand-new junction architecture, called “layer PN junction”, that might break through such limit and help redefine the semiconductor device architecture. Different from all existing semiconductors, we find that a variety of van der Waals materials are doping themselves from n- to p-type conductance with an increasing/decreasing layer-number. It means the capability of constructing homogeneous PN junctions in monolayers’ dimension/precision, with record high rectification-ratio (>105) and low cut-off current (<1 pA). More importantly, it spawns intriguing functionalities, like gate-switchable-rectification and noise-signal decoupled avalanching. Findings disclosed here might open up a path to develop novel nanodevice applications, where the geometrical size becomes the only critical factor in tuning charge-carrier distribution and thus functionality.