Journal of Low Power Electronics and Applications (Aug 2018)

Exploiting Read/Write Asymmetry to Achieve Opportunistic SRAM Voltage Switching in Dual-Supply Near-Threshold Processors

  • Yunfei Gu,
  • Dengxue Yan,
  • Vaibhav Verma,
  • Pai Wang,
  • Mircea R. Stan,
  • Xuan Zhang

DOI
https://doi.org/10.3390/jlpea8030028
Journal volume & issue
Vol. 8, no. 3
p. 28

Abstract

Read online

Energy-efficient microprocessors are essential for a wide range of applications. While near-threshold computing is a promising technique to improve energy efficiency, optimal supply demands from logic core and on-chip memory are conflicting. In this paper, we perform static reliability analysis of 6T SRAM and discover the variance among different sizing configuration and asymmetric minimum voltage requirements between read and write operations. We leverage this asymmetric property i n near-threshold processors equipped with voltage boosting capability by proposing an opportunistic dual-supply switching scheme with a write aggregation buffer. Our results show that proposed technique improves energy efficiency by more than 21.45% with approximate 10.19% performance speed-up.

Keywords