Energies (Sep 2022)

Developing a TEI-Aware PMIC for Ultra-Low-Power System-on-Chips

  • Kyu-Bae Lee,
  • Jina Park,
  • Eunjin Choi,
  • Mingi Jeon,
  • Woojoo Lee

DOI
https://doi.org/10.3390/en15186780
Journal volume & issue
Vol. 15, no. 18
p. 6780

Abstract

Read online

As the demand for ultra-low-power (ULP) devices has increased tremendously, system-on-chip (SoC) designs based on ultra-low-voltage (ULV) operation have been receiving great attention. Moreover, research has shown the remarkable potential that even more power savings can be achieved in ULV SoCs by exploiting the temperature effect inversion (TEI) phenomenon, i.e., the delay of the ULV SoCs decreases with increasing temperature. However, TEI-aware low-power (TEI-LP) techniques have a critical limitation in practical terms, in that dedicated power management-integrated circuits (PMICs) have not yet been developed. In other words, it is essential to develop PMICs that automatically bring out the full potential of the TEI-LP techniques as the chip temperature changes. With the aim of designing such PMICs, this paper first conducted a study to find the most suitable DC-DC converter for PMICs and then developed a control algorithm to maximize the effectiveness of the TEI-LP techniques. Furthermore, we have developed a compact hardware controller for the algorithm to operate most energy efficiently on ULP-SoCs.

Keywords