Scientific Reports (Oct 2022)

Polycrystalline silicon PhC cavities for CMOS on-chip integration

  • S. Iadanza,
  • G. C. R. Devarapu,
  • A. Blake,
  • P. Acosta Alba,
  • J.-M. Pedini,
  • L. O’Faolain

DOI
https://doi.org/10.1038/s41598-022-21578-6
Journal volume & issue
Vol. 12, no. 1
pp. 1 – 11

Abstract

Read online

Abstract In this work, we present an on-chip 2D and 3D photonics integration solution compatible with Front End of Line integration (FEOL) using deposited polycrystalline silicon (poly:Si) for optical interconnects applications. Deposited silicon integration on a bulk silicon wafer is here discussed in all its processing steps and configurations. Moreover, results of deposited silicon high-Q Photonic Crystal (PhC) resonators are shown, demonstrating the possibility to employ optical resonators patterned on this material in the next generation of 2D and 3D integrated optical interconnects.