Micro and Nano Systems Letters (Aug 2020)

Low-temperature smoothing method of scalloped DRIE trench by post-dry etching process based on SF6 plasma

  • Jin Soo Park,
  • Dong-Hyun Kang,
  • Seung Min Kwak,
  • Tae Song Kim,
  • Jung Ho Park,
  • Tae Geun Kim,
  • Seung-Hyub Baek,
  • Byung Chul Lee

DOI
https://doi.org/10.1186/s40486-020-00116-x
Journal volume & issue
Vol. 8, no. 1
pp. 1 – 8

Abstract

Read online

Abstract Deep reactive-ion etching (DRIE) is commonly used for high aspect ratio silicon micromachining. However, scalloping, which is the result of the alternating Bosch process of DRIE, can cause many problems in the subsequent process and degrade device performance. In this work, we propose a simple and effective method to smoothen the scalloping of DRIE trenches. The proposed method utilizes sidewall dry etching by reactive-ion etching (RIE) based sulfur hexafluoride (SF6) plasmas, following the DRIE process. To investigate the effect of the etch parameter on the scallop smoothing effect, the radio frequency (RF) power and gas flow are controlled. After the RIE treatment, the scallop smoothing effects were evaluated by measuring the average scallop depth under each condition. The scallop depth was reduced by 91% after implementing the scallop smoothing technique using RIE. Thus, our smoothening method based on SF6 plasmas would provide broad availabilities and applicability in silicon micromachining with the simple low-temperature process.

Keywords