Nanomaterials (Jun 2023)

High-Quality Recrystallization of Amorphous Silicon on Si (100) Induced via Laser Annealing at the Nanoscale

  • Zhuo Chen,
  • Huilong Zhu,
  • Guilei Wang,
  • Qi Wang,
  • Zhongrui Xiao,
  • Yongkui Zhang,
  • Jinbiao Liu,
  • Shunshun Lu,
  • Yong Du,
  • Jiahan Yu,
  • Wenjuan Xiong,
  • Zhenzhen Kong,
  • Anyan Du,
  • Zijin Yan,
  • Yantong Zheng

DOI
https://doi.org/10.3390/nano13121867
Journal volume & issue
Vol. 13, no. 12
p. 1867

Abstract

Read online

At sub-3 nm nodes, the scaling of lateral devices represented by a fin field-effect transistor (FinFET) and gate-all-around field effect transistors (GAAFET) faces increasing technical challenges. At the same time, the development of vertical devices in the three-dimensional direction has excellent potential for scaling. However, existing vertical devices face two technical challenges: “self-alignment of gate and channel” and “precise gate length control”. A recrystallization-based vertical C-shaped-channel nanosheet field effect transistor (RC-VCNFET) was proposed, and related process modules were developed. The vertical nanosheet with an “exposed top” structure was successfully fabricated. Moreover, through physical characterization methods such as scanning electron microscopy (SEM), atomic force microscopy (AFM), conductive atomic force microscopy (C-AFM) and transmission electron microscopy (TEM), the influencing factors of the crystal structure of the vertical nanosheet were analyzed. This lays the foundation for fabricating high-performance and low-cost RC-VCNFETs devices in the future.

Keywords