Chip (Sep 2022)

The development of laser-produced plasma EUV light source

  • De-Kun Yang,
  • Du Wang,
  • Qiu-Shi Huang,
  • Yi Song,
  • Jian Wu,
  • Wen-Xue Li,
  • Zhan-Shan Wang,
  • Xia-Hui Tang,
  • Hong-Xing Xu,
  • Sheng Liu,
  • Cheng-Qun Gui

Journal volume & issue
Vol. 1, no. 3
p. 100019

Abstract

Read online

Extreme ultraviolet lithography (EUVL) has been demonstrated to meet the industrial requirements of new-generation semiconductor fabrication. The development of high-power EUV sources is a long-term critical challenge to the implementation of EUVL in high-volume manufacturing (HVM), together with other technologies such as photoresist and mask. Historically, both theoretical studies and experiments have clearly indicated that the CO2 laser-produced plasma (LPP) system is a promising solution for EUVL source, able to realize high conversion efficiency (CE) and output power. Currently, ASML's NXE:3400B EUV scanner configuring CO2 LPP source system has been installed and operated at chipmaker customers. Meanwhile, other research teams have made different progresses in the development of LPP EUV sources. However, in their technologies, some critical areas need to be further improved to meet the requirements of 5 nm node and below. Critically needed improvements include higher laser power, stable droplet generation system and longer collector lifetime. In this paper, we describe the performance characteristics of the laser system, droplet generator and mirror collector for different EUV sources, and also the new development results.

Keywords