Nanomaterials (Jun 2023)

Investigation on Recrystallization Channel for Vertical C-Shaped-Channel Nanosheet FETs by Laser Annealing

  • Zhuo Chen,
  • Huilong Zhu,
  • Guilei Wang,
  • Qi Wang,
  • Zhongrui Xiao,
  • Yongkui Zhang,
  • Jinbiao Liu,
  • Shunshun Lu,
  • Yong Du,
  • Jiahan Yu,
  • Wenjuan Xiong,
  • Zhenzhen Kong,
  • Anyan Du,
  • Zijin Yan,
  • Yantong Zheng

DOI
https://doi.org/10.3390/nano13111786
Journal volume & issue
Vol. 13, no. 11
p. 1786

Abstract

Read online

Transistor scaling has become increasingly difficult in the dynamic random access memory (DRAM). However, vertical devices will be good candidates for 4F2 DRAM cell transistors (F = pitch/2). Most vertical devices are facing some technical challenges. For example, the gate length cannot be precisely controlled, and the gate and the source/drain of the device cannot be aligned. Recrystallization-based vertical C-shaped-channel nanosheet field-effect transistors (RC-VCNFETs) were fabricated. The critical process modules of the RC-VCNFETs were developed as well. The RC-VCNFET with a self-aligned gate structure has excellent device performance, and its subthreshold swing (SS) is 62.91 mV/dec. Drain-induced barrier lowering (DIBL) is 6.16 mV/V.

Keywords