International Journal of Molecular Sciences (Aug 2018)

Boron-Implanted Silicon Substrates for Physical Adsorption of DNA Origami

  • Sadao Takabayashi,
  • Shohei Kotani,
  • Juan Flores-Estrada,
  • Elijah Spears,
  • Jennifer E. Padilla,
  • Lizandra C. Godwin,
  • Elton Graugnard,
  • Wan Kuang,
  • Scott Sills,
  • William L. Hughes

DOI
https://doi.org/10.3390/ijms19092513
Journal volume & issue
Vol. 19, no. 9
p. 2513

Abstract

Read online

DNA nanostructures routinely self-assemble with sub-10 nm feature sizes. This capability has created industry interest in using DNA as a lithographic mask, yet with few exceptions, solution-based deposition of DNA nanostructures has remained primarily academic to date. En route to controlled adsorption of DNA patterns onto manufactured substrates, deposition and placement of DNA origami has been demonstrated on chemically functionalized silicon substrates. While compelling, chemical functionalization adds fabrication complexity that limits mask efficiency and hence industry adoption. As an alternative, we developed an ion implantation process that tailors the surface potential of silicon substrates to facilitate adsorption of DNA nanostructures without the need for chemical functionalization. Industry standard 300 mm silicon wafers were processed, and we showed controlled adsorption of DNA origami onto boron-implanted silicon patterns; selective to a surrounding silicon oxide matrix. The hydrophilic substrate achieves very high surface selectivity by exploiting pH-dependent protonation of silanol-groups on silicon dioxide (SiO2), across a range of solution pH values and magnesium chloride (MgCl2) buffer concentrations.

Keywords