Micromachines (Apr 2021)

A 1T2C FeCAP-Based In-Situ Bitwise X(N)OR Logic Operation with Two-Step Write-Back Circuit for Accelerating Compute-In-Memory

  • Qiao Wang,
  • Donglin Zhang,
  • Yulin Zhao,
  • Chao Liu,
  • Qiao Hu,
  • Xuanzhi Liu,
  • Jianguo Yang,
  • Hangbing Lv

DOI
https://doi.org/10.3390/mi12040385
Journal volume & issue
Vol. 12, no. 4
p. 385

Abstract

Read online

Ferroelectric capacitors (FeCAPs) with high process compatibility, high reliability, ultra-low programming current and fast operation speed are promising candidates to traditional volatile and nonvolatile memory. In addition, they have great potential in the fields of storage, computing, and memory logic. Nevertheless, effective methods to realize logic and memory in FeCAP devices are still lacking. This study proposes a 1T2C FeCAP-based in situ bitwise X(N)OR logic based on a charge-sharing function. First, using the 1T2C structure and a two-step write-back circuit, the nondestructive reading is realized with less complexity than the previous work. Second, a method of two-line activation is used during the operation of X(N)OR. The verification results show that the speed, area and power consumption of the proposed 1T2C FeCAP-based bitwise logic operations are significantly improved.

Keywords