Nanomaterials (Jul 2023)

Study of Selective Dry Etching Effects of 15-Cycle Si<sub>0.7</sub>Ge<sub>0.3</sub>/Si Multilayer Structure in Gate-All-Around Transistor Process

  • Enxu Liu,
  • Junjie Li,
  • Na Zhou,
  • Rui Chen,
  • Hua Shao,
  • Jianfeng Gao,
  • Qingzhu Zhang,
  • Zhenzhen Kong,
  • Hongxiao Lin,
  • Chenchen Zhang,
  • Panpan Lai,
  • Chaoran Yang,
  • Yang Liu,
  • Guilei Wang,
  • Chao Zhao,
  • Tao Yang,
  • Huaxiang Yin,
  • Junfeng Li,
  • Jun Luo,
  • Wenwu Wang

DOI
https://doi.org/10.3390/nano13142127
Journal volume & issue
Vol. 13, no. 14
p. 2127

Abstract

Read online

Gate-all-around (GAA) structures are important for future logic devices and 3D-DRAM. Inner-spacer cavity etching and channel release both require selective etching of Si0.7Ge0.3. Increasing the number of channel-stacking layers is an effective way to improve device current-driving capability and storage density. Previous work investigated ICP selective etching of a three-cycle Si0.7Ge0.3/Si multilayer structure and the related etching effects. This study focuses on the dry etching of a 15-cycle Si0.7Ge0.3/Si multilayer structure and the associated etching effects, using simulation and experimentation. The simulation predicts the random effect of lateral etching depth and the asymmetric effect of silicon nanosheet damage on the edge, both of which are verified by experiments. Furthermore, the study experimentally investigates the influence and mechanism of pressure, power, and other parameters on the etching results. Research on these etching effects and mechanisms will provide important points of reference for the dry selective etching of Si0.7Ge0.3 in GAA structures.

Keywords