Applied Sciences (Mar 2018)

Ni-Al Alloys as Alternative EUV Mask Absorber

  • Vu Luong,
  • Vicky Philipsen,
  • Eric Hendrickx,
  • Karl Opsomer,
  • Christophe Detavernier,
  • Christian Laubis,
  • Frank Scholze,
  • Marc Heyns

DOI
https://doi.org/10.3390/app8040521
Journal volume & issue
Vol. 8, no. 4
p. 521

Abstract

Read online

Extreme ultraviolet (EUV) lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D) effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM), is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

Keywords