Nanomaterials (Jun 2023)

Preparation of Remote Plasma Atomic Layer-Deposited HfO<sub>2</sub> Thin Films with High Charge Trapping Densities and Their Application in Nonvolatile Memory Devices

  • Jae-Hoon Yoo,
  • Won-Ji Park,
  • So-Won Kim,
  • Ga-Ram Lee,
  • Jong-Hwan Kim,
  • Joung-Ho Lee,
  • Sae-Hoon Uhm,
  • Hee-Chul Lee

DOI
https://doi.org/10.3390/nano13111785
Journal volume & issue
Vol. 13, no. 11
p. 1785

Abstract

Read online

Optimization of equipment structure and process conditions is essential to obtain thin films with the required properties, such as film thickness, trapped charge density, leakage current, and memory characteristics, that ensure reliability of the corresponding device. In this study, we fabricated metal–insulator–semiconductor (MIS) structure capacitors using HfO2 thin films separately deposited by remote plasma (RP) atomic layer deposition (ALD) and direct-plasma (DP) ALD and determined the optimal process temperature by measuring the leakage current and breakdown strength as functions of process temperature. Additionally, we analyzed the effects of the plasma application method on the charge trapping properties of HfO2 thin films and properties of the interface between Si and HfO2. Subsequently, we synthesized charge-trapping memory (CTM) devices utilizing the deposited thin films as charge-trapping layers (CTLs) and evaluated their memory properties. The results indicated excellent memory window characteristics of the RP-HfO2 MIS capacitors compared to those of the DP-HfO2 MIS capacitors. Moreover, the memory characteristics of the RP-HfO2 CTM devices were outstanding as compared to those of the DP-HfO2 CTM devices. In conclusion, the methodology proposed herein can be useful for future implementations of multiple levels of charge-storage nonvolatile memories or synaptic devices that require many states.

Keywords