Journal of Microelectronic Manufacturing (Sep 2019)

Innovation on Line Cut Methods of Self-aligned Multiple Patterning

  • Jeff Shu

DOI
https://doi.org/10.33079/jomm.19020301
Journal volume & issue
Vol. 2, no. 3
pp. 1 – 6

Abstract

Read online

Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use. Theoretically any small size of pitch can be achieved by repeating SADP on same wafer but with challenges of pitch walking and line cut since line cut has to be done by lithography instead of self-aligned method. Line cut can become an issue at sub-30nm pitch due to edge placement error (EPE). In this paper we will discuss some recent novel ideas on line cut after self-aligned multiple patterning.

Keywords